OpenEP3C5-C

来自丢石头百科
OpenEP3C5-C
OpenEP3C5-C
OpenEP3C5-C-Package-A.jpg
OpenEP3C5-C-Package-B.jpg
{{{name2}}}
{{{name3}}}
基本信息
分类: ALTERA开发板
主控: EP3C5E144C8N
{{{userDefinedInfo1}}}: {{{userdefinedvalue1}}}
{{{userDefinedInfo2}}}: {{{userdefinedvalue2}}}
{{{userDefinedInfo3}}}: {{{userdefinedvalue3}}}
{{{userDefinedInfo4}}}: {{{userdefinedvalue4}}}
{{{userDefinedInfo5}}}: {{{userdefinedvalue5}}}
{{{userDefinedInfo6}}}: {{{userdefinedvalue6}}}
品牌: Waveshare
板载接口
I/Os I2C LCD12864 LCD1602
LCD32 ONE-WIRE PS/2 SPI
USART
相关产品
ALTERA开发板
ALTERA下载器 | 调试器
CoreEP3C5
{{{Product2}}}
{{{Product3}}}
{{{Product4}}}
套餐模块

文档

程序

软件

相关教程

相关资料

转到:#软件#程序#文档

FAQ


问题:
1、如何通过JTAG口把程序固化到EPCS串行存储器件?
答复:


问题:
2、Quartus生成pof如何设置 ?
答复:
  • 在assignments -- device -- device and pin option, 在configuration 选项卡当中,要勾上Use Configuration device,并选择好配置芯片。
    选择configuration scheme为Active Serial


问题:
3、新买的板子和下载器在Quartus烧写程序,老是提示如下报错
Quartus-program-failed.png
答复:

可能原因:

  • 没有使用光盘配套的Quartus 11的版本(此版本较稳定),有用户使用Quartus 9的版本遇到此报错,安装11版本后解决。


问题:
4、使用Quartus II分配引脚的时候,提示“can't place multiple pins assigned to pin location Pin_101”
EP4CE6-multiple-pins-101-errors2.jpg
答复:

参考链接操作试试:



Icon-mail.png 联系 丢石头

我们的工作时间是: 09:00-18:00 (UTC+8 周一到周六)